IEEE 1076-2002 Справочное руководство по стандартному языку VHDL (документ IEEE Computer Society) - Стандарты и спецификации PDF

IEEE 1076-2002
Справочное руководство по стандартному языку VHDL (документ IEEE Computer Society)

Стандартный №
IEEE 1076-2002
Дата публикации
2002
Разместил
IEEE - The Institute of Electrical and Electronics Engineers@ Inc.
состояние
быть заменен
IEEE 1076-2004
Последняя версия
IEEE 1076-2019
сфера применения
Целью этого стандарта является точное определение языка описания оборудования VHSIC (VHDL). Его основная аудитория — разработчики инструментов, поддерживающих язык, и продвинутые пользователи языка. Другим пользователям рекомендуется использовать коммерчески доступные книги@учебные пособия@ и курсы для более детального изучения языка, прежде чем читать этот стандарт. Эти ресурсы обычно сосредоточены на том, как использовать язык @, а не на том, как должен вести себя инструмент рассмотрения жалоб VHDL. На момент публикации этот документ представлял собой авторитетное определение VHDL. Время от времени может возникнуть необходимость исправить и/или уточнить части настоящего стандарта. Такие исправления и разъяснения могут быть опубликованы в отдельных документах. Такие документы изменяют настоящий стандарт в момент их публикации и остаются в силе до тех пор, пока не будут заменены последующими документами или пока стандарт не будет официально пересмотрен.

IEEE 1076-2002 История

  • 1970 IEEE 1076-2019 Справочное руководство по стандарту IEEE для языка VHDL
  • 2011 IEEE 1076-2011 Поведенческие языки. Часть 1-1: Справочное руководство по языку VHDL (Компьютерное общество IEEE)
  • 2008 IEEE 1076-2008 Справочное руководство по языку VHDL
  • 2004 IEEE 1076-2004 Поведенческие языки Часть 1-1: Справочное руководство по языку VHDL
  • 2002 IEEE 1076-2002 Справочное руководство по стандартному языку VHDL (документ IEEE Computer Society)
  • 2000 IEEE 1076-2000 VHDL — Справочное руководство по языку
  • 1993 IEEE 1076-1993 Справочное руководство по языку VHDL
  • 1970 IEEE 1076/INT-1991 Интерпретации стандартов IEEE: IEEE Std 1076-1987, Справочное руководство по стандарту IEEE VHDL.
  • 1970 IEEE 1076-1987 Справочное руководство по стандартному языку IEEE VHDL



© 2023. Все права защищены.